mqtt

步骤7 打开串口 固件烧录完成后,可以通过串口查看示例的运行结果,打开串口的具体方法可参考《aos-studio使用说明之查看日志》。当串口终端打开成功后,可在串口中输入help来查看已添加的测试命令。步骤8 测试示例 说明 CLI命令行输入初始...

资源中心

TG7100B产测烧录工位说明 专业研发文件 2020-11-11 18:38:45 1.18MB 下载 TG7100B产测烧录工位例程 专业研发文件 2020-11-11 18:39:05 17.07MB 下载 TG7100B数据手册 通用文件 2021-06-03 11:24:52 1.95MB 下载 产测上位机串口通信协议 ...

HAL说明

HAL_OS_linux.c|+-HAL_TCP_linux.c|+-HAL_UDP_linux.c|+-kv.c|+-kv.h|+-win7|+-HAL_OS_win7.c|+-HAL_TCP_win7.c+-ssl+-itls|+-HAL_TLS_itls.c+-mbedtls|+-HAL_DTLS_mbedtls.c|+-HAL_TLS_mbedtls.c+-openssl+-HAL_TLS_openssl.c 基础 函数...

管理线下IDC的数据接入任务

redis_exporter_win_amd64.4496 RDP-Tcp#37 3 7,924 K Linux 说明 以下命令中的 redis 需要修改为对应中间件。以root用户登录中间件所在主机。执行以下命令,下载Exporter安装包。wget ...

MCU+蜂窝模组设备上云

其引脚分别对应如下:杜邦线序号 接线颜色 蜂窝通信串口引脚 MCU开发板串口引脚 ① 黄色 U1_TXD PA10 ② 绿色 U1_RXD PA9 ③ 蓝色 GND GND 使用杜邦线,将MCU开发板的UART3串口与开发工具的串口相连。其引脚分别对应如下:杜邦线序号 MCU...

自有模组驱动接入:STM32+合宙Air724

其引脚分别对应如下:杜邦线序号 接线颜色 蜂窝通信串口引脚 MCU开发板串口引脚 ① 黄色 U1_TXD PA10 ② 绿色 U1_RXD PA9 ③ 蓝色 GND GND 使用杜邦线,将MCU开发板的UART3串口与开发工具的串口相连。其引脚分别对应如下:杜邦线序号 MCU...

运行播放器Demo源码

环境要求 类别 说明 系统版本 支持Windows 7及以上版本。架构 本身是基于32位编译,可运行在64位系统上。开发工具 Visual Studio,推荐使用Visual Studio 2017。下载地址:vs_Community安装包。QT,推荐使用QT 5.12.9,下载地址:QT 5.12.9...

日志类别及字段说明

网络隧道是一种通过不同的网络协议来传输数据的方式,可以用于安全访问互联网或跨越不同网络的通信。514763 网络会话日志 字段名 说明 示例 asset_type 产生日志的资产。取值:ECS:云服务器ECS。SLB:负载均衡SLB。NAT:NAT网关。ECS dst_...

日志类别及字段说明

网络隧道是一种通过不同的网络协议来传输数据的方式,可以用于安全访问互联网或跨越不同网络的通信。514763 网络会话日志 字段名 说明 示例 asset_type 产生日志的资产。取值:ECS:云服务器ECS。SLB:负载均衡SLB。NAT:NAT网关。ECS dst_...

SDK新增功能介绍

注意当网关下子设备数量超过30时,建议配置 FEATURE_ALCS_ENABLED 为 n,关闭本地通信功能。可根据内存情况与子设备数量加大SDK缓存队列长度,通过配置 CONFIG_MSGCACHE_QUEUE_MAXLEN 宏实现。如网关系统内存充足(如Linux系统),缓存队列...

设备端SDK更新记录

注意当网关下子设备数量超过30时,建议配置 FEATURE_ALCS_ENABLED 为 n,关闭本地通信功能。可根据内存情况与子设备数量加大SDK缓存队列长度,通过配置 CONFIG_MSGCACHE_QUEUE_MAXLEN 宏实现。如网关系统内存充足(如Linux系统),缓存队列...

MCU+支持MQTT的模组

应用场景:设备的硬件由一个MCU加上一个通信模组构成,设备的应用逻辑运行在MCU上,通信模组支持MQTT功能并提供AT指令给MCU使用,MCU控制模组连接云端服务以及收发数据。本示例中:示例app+SDK+模组对接代码一起的RAM消耗为6KB。对于这样的...

Alibaba Cloud Linux 3/2的区别

indexhtml 8.0-0.1.al8 centos-logos 80.5-2.1.al8 ceph 12.2.7-9.2.al8 chan 0.0.4-3.1.al8 cjose 0.6.1-3.al8 clang 15.0.7-1.0.2.al8 cldr-emoji-annotation 39-2.0.1.al8 cmocka 1.1.5-1.1.al8 cobbler 2.0.7.1-6.1.al8 cockpit-...

RRpc

ContentType String 否 240 使用JT/T 808协议通信时,服务端向指定设备发送消息的类型。可取值:0:GNSS模块详细定位数据。11:道路运输证IC卡信息。65:串口1透传。66:串口2透传。240~255:用户自定义透传。物联网平台支持的JT/T 808协议...

AT+MQTT指令接入示例

环境准备 环境配置 说明 MCU开发板 名称:STM32 Nucleo板 型号:STM32L476RG 系统:FreeRTOS 参考文档:NUCLEO-L476RG 模组通信板 EC200S SIM卡 物联网卡,不限运营商,插入模组开发板 接口连接 STM32串口1和EC200之间进行AT指令通信,使用...

透传/编辑脚本

公共接口 SDK初始化函数:void boneSdkInit(void)SDK运行函数,在while中调用:void boneSdkRun(void)接收串口的字节数据,在串口中断服务程序中调用:Int32_t boneRcvFromUart(Uint8_t*data,Uint16_t length);系统运行时间计算(定时1ms...

用钉钉小程序控制HaaS100播放语音示例

audio_demo:主要打通HaaS100和阿里云物联网平台连接、通信,物模型解析,播放器功能逻辑的实现。uVoice组件:是AliOS Things上智能语音解决方案的核心组件,提供了本地音频,URL音频,TTS合成等基础功能,音频格式支持mp3,m4a,wav,opus等...

CreateEventSubscribe

产生该事件的SessionID"Timestamp":1609854786,/事件发生Unix 时间戳"Reason":1,/入会、离会原因,仅Join事件有"Role":1,/角色类型,主播,观众"TerminalType":1,/终端类型,win,android"UserType":1,/用户类型,native sdk,applete,webrtc...

SDK集成

在项目属性页中,将 配置 改为 Release,将 平台 改为 Win32。添加头文件。在项目属性页中,在左侧导航栏中选择 配置属性>C/C++>常规。在右侧配置栏中,选择 附加包含目录,添加./include/header;单击 应用。添加依赖库文件。在项目属性页...

示例二:Linux+L610模组使用AT框架

通信模组完成AT模组驱动框架的适配后,需进行测试。以Linux环境下的L610模组设备为例,本文介绍该类型的设备,通过C Link SDK接入物联网平台的测试步骤。背景信息 通信模组与AT模组驱动框架适配的详细说明,请参见 概述。本文示例设备的...

QueryProduct

DataFormat Integer 1 设备与云端之间的数据通信协议类型。该参数为使用物模型的产品(AliyunCommodityCode=iothub_senior)的特有参数。取值:0:透传模式。使用自定义的串口数据格式。该模式下,设备可以上报原始数据(如二进制数据流)...

账户同步接入概述

{."cipher_data":"ZePq7ckODWnL54vqZc3kTw0vF7tjvIRZjqqy/gZm9oTEt71WMufD9swlmHzZkniSqyDGQpkmMRLCXz9gzRJ4BY2RroLUPQW8ZDPSfmJKEf2m2w6wY1twoRlnHLoFCVhravsvN0afBqmxd3eK5tHd05Ze6MLOXS3fqxqH61dGAm2mwecvAFPRrKVeg6JXBYUvA2Uu6dmCOP3y...

打包服务说明

打包服务:一个服务周期内(一年内)提供4端(Android、iOS、Win、Mac)独立打包,并于单一服务周期内提供不超过四次打包。说明 钉钉可能根据实际情况,主动要求升级,以支持新体验、新数据或者是bug修复(此打包情形不计入4次打包服务内)...

2024年

64 更新内容:更新最新软件包 CentOS 7.9 centos_7_9_x64_20G_alibase_20240321.vhd 2024-03-21 内核版本:3.10.0-1160.108.1.el7.x86_64 更新内容:更新最新软件包 CentOS 7.9 centos_7_9_x64_20G_alibase_20240220.vhd 2024-02-20 内核...

公共镜像概述

acs:centos_7_8_x64 CentOS 7.8 64位 可信版 acs:centos_7_8_x64_trust CentOS 7.8 64位 AMD版 acs:centos_7_8_x64_amd CentOS 7.7 64位 acs:centos_7_7_x64 CentOS 7.6 64位 acs:centos_7_6_x64 CentOS 7.5 64位 acs:centos_7_5_x64 ...

什么是AI分布式训练通信优化库AIACC-ACSpeed

AIACC-ACSpeed(AIACC 2.0-AIACC Communication Speeding)是阿里云推出的AI分布式训练通信优化库AIACC-Training 2.0版本。相比较于分布式训练AIACC-Training 1.5版本,AIACC-ACSpeed基于模块化的解耦优化设计方案,实现了分布式训练在兼容...

乐鑫ESP32开发板移植

{"code":200,"dat[LK-030A]< 61 22 3A7B 7D 2C 22 69 64 22 3A 22 31 22 2C 22|a":{},"id":"1","[LK-030A]|message":"succes[LK-030A]|s","method":"thi[LK-030A]|ng.event.propert[LK-030A]|y.post","version[LK-030A]< 22 3A 22 31 2E 30 227D|":"1...

埋点API

初始化qt_mini_sdk import { initQTSDK } from './utils/qt_mini.umd.js';initQTSDK(aplusConfig);wx.login({ success:(res)=>{/通过 code 换取openid if(res.code){ wx.request({ url:客户业务侧后端获取openid的接口,method:"post",data:...

构建工具

ble_mesh(V7.3.0)-ble_host and mesh stack board_dummy(V7.3.0)-csi_dummy development board configure.button(V7.3.0)-按键服务组件,支持通过GPIO和ADC控制。cb2201(V7.3.0)-CB2201 board configure.显示本地所有组件信息 aos list 显示...

SDK插件使用说明

ms-win-core-synch-l1-2-0.dll api-ms-win-crt-heap-l1-1-0.dll api-ms-win-core-string-l1-1-0.dll api-ms-win-crt-string-l1-1-0.dll api-ms-win-crt-stdio-l1-1-0.dll api-ms-win-crt-convert-l1-1-0.dll api-ms-win-crt-time-l1-1-0....

埋点API

queue.push({ action:'aplus.recordAppLink',arguments:[{ targetAppKey:'要唤起的应用appKey',/必填,要唤起的应用appKey custom1:'custom1',/选填,自定义参数.}]})App下载后的第一次启动事件“应用激活事件($_app_install)”由QT App ...

阿里云先知安全众测协议

7.1.9 将有关干扰、破坏或限制任何计算机软件、硬件或通讯设备功能的软件病毒或其他计算机代码、档案和程序之资料,加以上载、张贴、发送电子邮件或以其他方式传送;7.1.10 干扰或破坏本服务或与本服务相连线之服务器和网络,或违反任何...

app.json-全局配置文件

dataWidth Number 5/6/7/8 否 串口数据宽度值,默认为8 bits。baudRate Number 9600、115200等 否 串口波特率,默认为115200。stopBits Number 1/2 否 串口停止位,默认为1。flowControl String disable 否 流控设置,默认disable。cts rts...

Windows中如何使用curl命令

概述 本文讲述如何在 ...解压已下载的curl到 C:\curl-7.65.1-win64-mingw 文件夹。新建系统变量值为 C:\curl-7.65.1-win64-mingw。添加 C:\curl-7.65.1-win64-mingw\bin 系统变量。使用 curl 命令加上网址进行测试。适用于 云服务器 ECS

错误码

E44 Enclave调试输出连接建立失败 Enclave CLI无法与指定Enclave的调试输出串口建立通信连接,请确保该Enclave在调试模式下运行。E45 Enclave调试输出获取失败 Enclave CLI无法获取Enclave调试输出,可尝试重新运行命令。E46 Enclave调试...

常见问题

不同操作系统的配置示例如下所示:配置示例一:为Windows服务器排除不迁移的文件或目录 系统盘 待排除的文件或目录:C:\MyDirs\Docs\Words C:\MyDirs\Docs\Excels\Report1.txt 在 rsync_excludes_win.txt 中添加内容:/MyDirs/Docs/Words/...

HaaS 600 EC600S开发板

HaaS600 Kit 套件包含以下物料:开发板x1 micro-USB线缆x1 5V/2A电源适配器x1 4G天线x1 GPS天线x1 系统环境 连接设备 将开发电脑跟设备的调试/用户串口通过MicroUSB连接,连接后,将在PC设备管理器中出现两个串口,分别用于调试和用户串口...

通过配置VMware无代理连接器导入迁移源

Getting vm list progress:[>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>100.00%]time:2.3s VM List of 192.168.XX.XX:ID Name Connected CBT Add 1080 test1*1084 win16bios*1011 centos7-bios-2*3008 centos7-uefi*2001 centos-...

通过角色SSO进行角色扮演

取值为 {"AccessKeyId":"STS.NUQNP4PiGyckMsNiGELCs*","AccessKeySecret":"Ss7sq2j0ZoJujZnmVgXcu6QT9e*","Expiration":"2021-08-02T07:05:20Z"},表示访问密钥ID为 STS.NUQNP4PiGyckMsNiGELCs*、访问密钥为 Ss7sq2j0ZoJujZnmVgXcu6QT9e*、...

HTTP API

id string 是 对应服务端埋点中的 serviceID OA8kI9Jis7YJNh5uh appkey string 是 应用key,从QT管理后台获取 9moqdsuia8hvxm7k8shf82n id string 是 事件编码,用户在QT后台创建事件时设置的编码 click umid string 二者必须至少有一个...
共有123条 < 1 2 3 4 ... 123 >
跳转至: GO
产品推荐
云服务器 安全管家服务 安全中心
这些文档可能帮助您
物联网无线连接服务 号码隐私保护 边缘网络加速 短信服务 高速通道 弹性公网IP
新人特惠 爆款特惠 最新活动 免费试用