基于HSV色度空间的图像深度信息提取算法FPGA实现,包含testbench和MATLAB辅助验证程序

基于HSV色度空间的图像深度信息提取算法FPGA实现,包含testbench和MATLAB辅助验证程序

1.算法运行效果图预览 将FPGA结果导入到matlab显示结果如下: matlab的对比测试结果如下: 2.算法运行软件版本vivado2019.2 matlab2022a 3.算法理论概述 在HSV(Hue, Saturation, Value)色彩模型中,颜色由色调(H)、饱和度(S)和明度(...

基于FPGA的图像sobel边缘提取算法实现,包含testbench和matlab验证程序

基于FPGA的图像sobel边缘提取算法实现,包含testbench和matlab验证程序

1.算法仿真效果matlab2022a/vivado2019.2仿真结果如下: 2.算法涉及理论知识概要 点和线是做图像分析时两个最重要的特征,而线条往往反映了物体的轮廓,对图像中边缘线的检测是图像分割与特征提取的基础。边缘检测是图像处理和计算机视觉中的基本问题,边缘检测的目的是标识数字图像中亮度变...

相册服务中的故事生成算法介绍

1 课时 |
31 人已学 |
免费

Go语言核心编程 - 数据结构和算法

47 课时 |
1657 人已学 |
免费

神经网络概览及算法详解

36 课时 |
801 人已学 |
免费
开发者课程背景图
m基于FPGA的LDPC最小和译码算法verilog实现,包括testbench和matlab辅助验证程序

m基于FPGA的LDPC最小和译码算法verilog实现,包括testbench和matlab辅助验证程序

1.算法仿真效果matlab2022a/vivado2019.2仿真结果如下: matlab仿真: 0.5码率,H是4608×9216的矩阵。 FPGA仿真: 对比如下: 2.算法涉及理论知识概要 LDPC译码分为硬判决译码和软判决译码。 硬判决译码又称代数译码,主要代表是比特翻转(BF)译码算法,...

本页面内关键词为智能算法引擎基于机器学习所生成,如有任何问题,可在页面下方点击"联系我们"与我们沟通。

产品推荐

社区圈子

智能引擎技术
智能引擎技术
AI Online Serving,阿里巴巴集团搜推广算法与工程技术的大本营,大数据深度学习时代的创新主场。
4027+人已加入
加入
相关电子书
更多
图解算法小抄
网易云音乐音视频算法处理的 Serverless 探索之路
阿里技术参考图册-算法篇
立即下载 立即下载 立即下载